CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - max PLUS

搜索资源列表

  1. EXA03

    0下载:
  2. 一个关于VHDL的cpld开发实验程序,通过运用max+plus 运行程序,实现实验相关功能-VHDL CPLD on the development of experimental procedures, through the use of max+ plus run the program, the experimental implementation-related features
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:39008
    • 提供者:haongodng
  1. EXA04

    0下载:
  2. 一个关于VHDL的cpld开发实验程序,通过运用max+plus 运行程序,实现实验相关功能-VHDL CPLD on the development of experimental procedures, through the use of max+ plus run the program, the experimental implementation-related features
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:173796
    • 提供者:haongodng
  1. EXA05

    0下载:
  2. 一个关于VHDL的cpld开发实验程序,通过运用max+plus 运行程序,实现实验相关功能-VHDL CPLD on the development of experimental procedures, through the use of max+ plus run the program, the experimental implementation-related features
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:94525
    • 提供者:haongodng
  1. plj

    0下载:
  2. 数字频率计是一种用来测试周期性变化信号工作频率的装置。其原理是在规定的单位时间(闸门时间)内,记录输入的脉冲的个数。我们可以通过改变记录脉冲的闸门时间来切换测频量程。本文利用EDA技术中的Max+plusⅡ作为开发工具,设计了基于FPGA的8位十进制频率计,并下载到在系统可编程实验板的EPF10K20TC144-4器件中测试实现了其功能。-Digital frequency meter is a kind of cyclical changes in the signal used to tes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:591499
    • 提供者:庄青青
  1. bym

    0下载:
  2. 在Max+plusΠ环境下用VHDL语言编写实现基于CPLD的CMI编译码器设计-In Max+ plusΠ environment using VHDL language CPLD-based design of CMI codecs
  3. 所属分类:Communication

    • 发布日期:2017-04-02
    • 文件大小:922
    • 提供者:莫迎宾
  1. SY10

    0下载:
  2. 本文介绍了乐曲演奏电路的设计与实现中涉及的CPLD/FPGA可编程逻辑控件,开发环境MAX+PLUSⅡ,硬件描述语言HDL以及介绍了在MAX+PLUSⅡ的EDA 软件平台上, 一种基于FPGA 的乐曲发生器的设计方法, 并给出了设计的顶层电路图和底层模块的VHDL(或AHDL)源程序。该设计的正确性已通过硬件实验得到验证。 -The musical performance circuit’s design and implement Abstract: This paper introd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:307323
    • 提供者:guo
  1. thesecondsignalfunction

    0下载:
  2. 秒信号发生器,供初学者了解vhdl的编程方法,程序非常简单。编程环境使用Max+Plus IIV10.12-the second signal function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3932
    • 提供者:孙天奇
  1. ttt

    0下载:
  2. 该系统利用VHDL语言、PLD设计出租车计费系统,以MAX+PLUSⅡ软件作为开发平台,设计了出租车计费器系统程序并进行了程序仿真。使其实现计费以及预置和模拟汽车启动、停止、暂停等功能,并动态扫描显示车费数目。-The system is the use of VHDL language, PLD design taxi billing system to MAX+ PLUS Ⅱ software as a development platform designed billing syste
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:183616
    • 提供者:cch
  1. UART

    0下载:
  2. A badic controller for the UART. It incorporates a -- transmit and receive FIFO (from Max+Plus II s MegaWizard -- plug-in manager). Note that no checking is done to see -- whether the FIFOs are overflowing or not. This strictly -- handles the
  3. 所属分类:OS Develop

    • 发布日期:2017-03-29
    • 文件大小:1648
    • 提供者:Viral
  1. 8counter_origin

    0下载:
  2. This a design of 8bit binary counter using MAX PLUS PLUS.-This is a design of 8bit binary counter using MAX PLUS PLUS.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-13
    • 文件大小:1562
    • 提供者:MK PARK
  1. vhdl

    0下载:
  2. :以上海地区的出租车计费器为例,利用Verilog HDL语言设计了出租车计费器,使其具有时间 显示、计费以及模拟出租车启动、停止、复位等功能,并设置了动态扫描电路显示车费和对应时间,显示 了硬件描述语言Verilog—HDL设计数字逻辑电路的优越性。源程序经MAX+PLUS Ⅱ软件调试、优 化,下载到EPF1OK10TC144—3芯片中,可应用于实际的出租车收费系统。-: A Shanghai taxi meter area for example, the use of Veri
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:212299
    • 提供者:mindy
  1. MAX_Plus_II

    0下载:
  2. MAX_Plus_II应用超级教程 里边内容很多的 和它有关的一些器件,还有应用在其上的一些东东 总之不错-Super Guide MAX_Plus_II inside the contents of the application and it is a lot of some of the devices, as well as apply it to some good short Dongdong
  3. 所属分类:OpenGL program

    • 发布日期:2017-05-13
    • 文件大小:3318569
    • 提供者:李白
  1. EDA

    0下载:
  2. 基于MAX PLUS 2 FPGA 依据状态机结构的10禁止计数器 内附其仿真图-MAX PLUS 2 FPGA based state machine based on the structure of the 10 counter containing the prohibition of the simulation map
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:11549
    • 提供者:yuqingwei
  1. 11912911lunwen

    0下载:
  2. 本文主要介绍以EP1C3/EP1C6芯片进行十字路口的交通控制灯的设计,该系统可控制2个方向的红、黄、绿三盏灯,让其按特定的规律进行变化。用EP1C3/EP1C6作为交通控制灯的主控芯片,采用VHDL语言编写控制程序,利用MAX+PlusⅡ对设计结果进行仿真,发现系统工作性能良好。据此设计而成的硬件电路,也实现了控制要求。该设计展示了VHDL语言的强大功能和优秀特性。-This paper introduces the crossroads EP1C3/EP1C6 chip design of
  3. 所属分类:Document

    • 发布日期:2017-04-06
    • 文件大小:299657
    • 提供者:灰太狼
  1. traffic

    0下载:
  2. 实现路口交通灯系统的控制方法很多,可以用标准逻辑器件,可编程控制器PLC,单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用EDA技术,应用目前广泛应用的Verilog HDL硬件电路描述语言,实现交通灯系统控制器的设计,利用MAX+PLUS 集成开发环境进行综合、仿真,并下载到CPLD可编程逻辑器件中,完成系统的控制作用。-Intersection traffic signal systems to ach
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:676
    • 提供者:沈田
  1. MaxPlusChineseTuturial

    0下载:
  2. max-plus 简单用户使用入门指南。包括软件安装,图形设计,编译,定时分析,器件编程等详细介绍。-Max+Plus II Chinese Tuturial
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:413290
    • 提供者:文静
  1. vote7

    0下载:
  2. 七人表决 MAX + PLUS 2 编写 -Seven people to vote
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-03-30
    • 文件大小:43750
    • 提供者:wang
  1. EDAVHDL

    0下载:
  2. VHDL硬件描述语言 MAX+PLUSⅡ介绍 CPLD数字发展实验系统简介以及十个数字电路和数字系统实验的源代码和介绍-VHDL hardware descr iption language introduced the MAX+ PLUS Ⅱ Introduction CPLD digital development of experimental systems, as well as 10 digital circuits and digital systems, the source c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:674916
    • 提供者:bryan
  1. vhdl-TAXI

    0下载:
  2. 随着EDA技术的发展及大规模可编程逻辑器件CPLD/FPGA的出现,电子系统的设计技术和工具发生了巨大的变化,通过EDA技术对CPLD/FPGA编程开发产品,不仅成本低、周期短、可靠性高,而且可随时在系统中修改其逻辑功能。本文利用VHDL语言设计出租车计费系统,使其实现汽车启动、停止、暂停时计费以及预置等功能,通过设置计数电路进行路费及路程的计数,通过设计数据转换电路将路费及路程的十进制数分离成四位十进制数表示,通过设计快速扫描电路显示车费及路费,突出了其作为硬件描述语言的良好的可读性的优点。通
  3. 所属分类:software engineering

    • 发布日期:2017-03-25
    • 文件大小:269650
    • 提供者:stella
  1. CPLD

    0下载:
  2. CPLD数字电路设计--使用MAX+plusⅡ入门篇,对于cpld初学者确实是一本好书。-CPLD digital circuit design- using MAX+ plus Ⅱ entry papers, for cpld really is a good book for beginners.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-06-13
    • 文件大小:20413554
    • 提供者:关剑锋
« 1 2 3 45 6 7 8 »
搜珍网 www.dssz.com